)]}' { "id": "89c8784b4f33fd63406082dbe1788732aba60e5e", "entries": [ { "mode": 33188, "type": "blob", "id": "7dc936d6e6e89d99183e80ed0876b191b0666395", "name": "aom_convolve8_avg_neon.c" }, { "mode": 33188, "type": "blob", "id": "80aef992ddab5fc095254444dd7b3da5a99de854", "name": "aom_convolve8_avg_neon_asm.asm" }, { "mode": 33188, "type": "blob", "id": "ed0df6dd2ae990b7499eb2ca048623d96d529b63", "name": "aom_convolve8_neon.c" }, { "mode": 33188, "type": "blob", "id": "38207d864900afcce2e4c75f8c50e2e0af9c5cee", "name": "aom_convolve8_neon_asm.asm" }, { "mode": 33188, "type": "blob", "id": "f05d3ceae2e8f4a05da1def2ec4eab5be4c0125b", "name": "aom_convolve_avg_neon.c" }, { "mode": 33188, "type": "blob", "id": "43c300954f4422d8f78289a8ad28f7144338e818", "name": "aom_convolve_avg_neon_asm.asm" }, { "mode": 33188, "type": "blob", "id": "9e57c7176f33b6c4076fb0dc8a4511c69cbc077b", "name": "aom_convolve_copy_neon.c" }, { "mode": 33188, "type": "blob", "id": "443d7178a686a1270aa609cff31d2c42d9b7b209", "name": "aom_convolve_copy_neon_asm.asm" }, { "mode": 33188, "type": "blob", "id": "6c2997e040465a6373c9d770a7d4559b1ebd7814", "name": "aom_convolve_neon.c" }, { "mode": 33188, "type": "blob", "id": "2f281051eba6ea5f50314f3895516a5e8776bbb6", "name": "avg_neon.c" }, { "mode": 33188, "type": "blob", "id": "17b7d25f971b596ecd32fb521de8c1611867b6a7", "name": "bilinear_filter_media.asm" }, { "mode": 33188, "type": "blob", "id": "1cf8a3a6ed27a738f709bf38722f3c508360bd51", "name": "fwd_txfm_neon.c" }, { "mode": 33188, "type": "blob", "id": "d01c4bc03ecee4ba2b511b17ba1d821313c98de2", "name": "idct16x16_1_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "196b2a890d3ea728225446c60e7584c923edc7d4", "name": "idct16x16_1_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "4a8f8f183a337213ce9671b92dbd47a33592292f", "name": "idct16x16_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "b4cb7a0cd830de1dba57f82ec712b3217490f274", "name": "idct16x16_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "db0d4905b53d1410390b6d864f76ab35ee013984", "name": "idct16x16_neon.c" }, { "mode": 33188, "type": "blob", "id": "b04df2d0b8df9543ef683fbfe9e8231fdcd49d7f", "name": "idct32x32_1_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "547567c5b47fb7534e864e9c7127cbc46ef50708", "name": "idct32x32_1_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "e7793fb16e8763acddc6902b19fd4f30132bf10d", "name": "idct32x32_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "a7562c7d5dfa945eac3e5ebdd6ac5d8ba311e2e9", "name": "idct32x32_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "6bd733d5dd91f366a318014d40ee393f10aa42d3", "name": "idct4x4_1_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "3df7a901b0393b0e13fe8327109e12d67d9ba770", "name": "idct4x4_1_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "127acf61407e3d21e542da9e2aab7918de76d7b1", "name": "idct4x4_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "763be1ab0e46b6f554c227060ff0f57c546156d0", "name": "idct4x4_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "ec07e2053b003376f5742947823545c3db9cfab4", "name": "idct8x8_1_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "c7926f9e4f6fe2dfa000c63c8e289eb3d505c401", "name": "idct8x8_1_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "f3d5f246d0218412567b08f3e3b5cc648dc2dfeb", "name": "idct8x8_add_neon.asm" }, { "mode": 33188, "type": "blob", "id": "8ad70862d6eea818a1b9aff2e7375e36b221a3e4", "name": "idct8x8_add_neon.c" }, { "mode": 33188, "type": "blob", "id": "0cdba9a8bccd4c9c16cd11ef9d29ad453d9618e8", "name": "intrapred_neon.c" }, { "mode": 33188, "type": "blob", "id": "7d04d35539b437b2bd8aafcc2c13e298feb19fe8", "name": "intrapred_neon_asm.asm" }, { "mode": 33188, "type": "blob", "id": "b6e2c9edb46e5ec32db680867c81bb16aa2dcff4", "name": "loopfilter_16_neon.asm" }, { "mode": 33188, "type": "blob", "id": "c0562a6ea5bebfb90424603fd4eeba19578aca18", "name": "loopfilter_16_neon.c" }, { "mode": 33188, "type": "blob", "id": "ac1be9d966c885488aaae31b5ff229914470b99c", "name": "loopfilter_4_neon.asm" }, { "mode": 33188, "type": "blob", "id": "cc75228303d23478cdfd58e29a4b8d44a67897c7", "name": "loopfilter_4_neon.c" }, { "mode": 33188, "type": "blob", "id": "c5d653ebf8a2c71c9346d8545158125dd693f814", "name": "loopfilter_8_neon.asm" }, { "mode": 33188, "type": "blob", "id": "1551adb679ce9570418c807d77274359bfa1072b", "name": "loopfilter_8_neon.c" }, { "mode": 33188, "type": "blob", "id": "2611470c66005aad982088399eed4132f347a13e", "name": "loopfilter_mb_neon.asm" }, { "mode": 33188, "type": "blob", "id": "15b093487e951b4152510b04c05d0b7b2fbbb4af", "name": "loopfilter_neon.c" }, { "mode": 33188, "type": "blob", "id": "a1eeaf4b77e3f9ee0264f86a30aa54e1c60c8543", "name": "sad4d_neon.c" }, { "mode": 33188, "type": "blob", "id": "49ddb6764235307d67aab21053c280f16f71ff5b", "name": "sad_media.asm" }, { "mode": 33188, "type": "blob", "id": "2f452f55b5172116bb5a9f5f7323a98486f43508", "name": "sad_neon.c" }, { "mode": 33188, "type": "blob", "id": "e04969823423c1661c281209528a8585b17d5268", "name": "save_reg_neon.asm" }, { "mode": 33188, "type": "blob", "id": "46ec028d3703cf9cc8194acdb16ddc9719f7ea14", "name": "subpel_variance_media.c" }, { "mode": 33188, "type": "blob", "id": "064b72d6fcc70e7ad174fa37a91f17dae07e56f6", "name": "subpel_variance_neon.c" }, { "mode": 33188, "type": "blob", "id": "cb8a2daf8ad19526a6ff6942367147eed4fe74a4", "name": "subtract_neon.c" }, { "mode": 33188, "type": "blob", "id": "1e5c9178e655531ad9b01d33694eaf4ca5607352", "name": "variance_halfpixvar16x16_h_media.asm" }, { "mode": 33188, "type": "blob", "id": "9e0af830ee88eebcfbdcbaa54390b3129012f290", "name": "variance_halfpixvar16x16_hv_media.asm" }, { "mode": 33188, "type": "blob", "id": "545b6817947d4d24a67b05f2e8dff5cb2c54a723", "name": "variance_halfpixvar16x16_v_media.asm" }, { "mode": 33188, "type": "blob", "id": "fdc311a81c7ccf3b4cc32408075b68cac7467ee3", "name": "variance_media.asm" }, { "mode": 33188, "type": "blob", "id": "e6ebbc9fc0dc41557d3be79669661312dcecd2de", "name": "variance_neon.c" } ] }